CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga adc0809

搜索资源列表

  1. ADC0809

    0下载:
  2. adc0809的fpga时序电路接口程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:196862
    • 提供者:yc
  1. ADC0809

    0下载:
  2. adc0809数模转换芯片fpga控制程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:57644
    • 提供者:conanhfl
  1. adc0809

    0下载:
  2. 基于北京革新公司出品的EDA实验工具箱的数模转换程序。该程序将输入的5V信号从01至FF量化并通过2位数码管进行显示。量化精度为0.1v。编译环境为quartusll.5.1版本。fpga芯片为EP18CQ240C6
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:162428
    • 提供者:ck
  1. ADCINT.rar

    0下载:
  2. adc0809用FPGA控制的采样非常好用的实例 自己看书后终结的,ADC0809
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:83696
    • 提供者:weibao
  1. motorcontrol(vhdl).rar

    1下载:
  2. 基于FPGA的直电机伺服系统的设计的代码,VHDL语言。包括前馈控制,AD1674控制模块,ADC0809控制模块,前馈控制模块,分频模块等。,FPGA-based servo system direct the design of the electrical code, VHDL language. Including feed-forward control, AD1674 control module, ADC0809 control module, feed-forward contr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:6456
    • 提供者:dong
  1. ADC0809

    0下载:
  2. 模数转换器件ADC0809的详细中文资料,附VHDL语言编写的基于FPGA的ADC0809控制设计代码-ADC0809 ADC detailed pieces of information in Chinese, with VHDL language ADC0809 based control design of the FPGA code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:128857
    • 提供者:zll
  1. 8.4ADC0809

    0下载:
  2. FPGA中用VHDL编写的AD0809的转换接口电路及程序源码-FPGA using VHDL prepared AD0809 conversion interface circuit and program source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:3927
    • 提供者:felix chin
  1. sjcj

    0下载:
  2. 通过ADC0809对模拟信号进行采样,然后将转换好的8位数据迅速转存到FPGA内部存储器中,同时增加一个锯齿波发生电路,扫描时钟与地址发生时钟一致。由此完成一个示波器功能!-Through ADC0809 carried out on the analog signal sampling, and then a good 8-bit data conversion转存到rapid internal FPGA memory, at the same time increase the occurr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:712006
    • 提供者:江俊
  1. data_system_design_based_on_FPGA

    0下载:
  2. 用FPGA設計数字系统,2007年上海FPGA研修班王巍老师讲义-Digital System Design using FPGA, FPGA Shanghai in 2007 Wang Wei, a teacher seminar handouts
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:646597
    • 提供者:david
  1. ADC0809

    0下载:
  2. 用CPLD/FPGA驱动ADC0809芯片的VHDL源程序-Using CPLD/FPGA drive ADC0809 chip VHDL source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:156509
    • 提供者:peter
  1. ADC0809

    0下载:
  2. VHDL写的ADC0809的控制转换程序,很容易就看懂的,结构明晰,还有示波器输出模式。-ADC0809 write VHDL control the conversion process, it is easy to understand, and the structure of clarity, as well as the output mode oscilloscope.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1061
    • 提供者:袁野
  1. URAT_VHDL

    0下载:
  2. FPGA采用模块工程文件QUARTUS II工程、ADC0809、电机控制PWM、LCD12864显示控制、UART_VHDL-FPGA module QUARTUS II project engineering documents, ADC0809, motor control PWM, LCD12864 display control, UART_VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:238303
    • 提供者:wangzhaohui
  1. ADC0809_VHDL_QUAARTUSII_PROJECT

    0下载:
  2. FPGA模块工程、ADC0809状态机控制ADC0809_VHDL_QUAARTUSII_PROJECT可以直接使用!-FPGA module works, ADC0809 control state machine can be used directly ADC0809_VHDL_QUAARTUSII_PROJECT!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:102040
    • 提供者:wangzhaohui
  1. FPGA_lizi

    0下载:
  2. FPGA实例,ADC0809,DAC0832接口电路程序,LCD控制VHDL程序与仿真,等实例,验证通过.-FPGA.VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:66189
    • 提供者:suyufeng
  1. adc0809

    0下载:
  2. VHDL FPGA ADC0809 数模转换 状态机-VHDL FPGA ADC0809 DAC state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:138625
    • 提供者:喻炜
  1. ADC0809

    0下载:
  2. 基于VHDL语言,实现对ADC0809简单控制。ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟信号,这里由FPGA的系统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟-Based on VHDL language, to achieve simple control of ADC0809. ADC0809 no internal clock, an external 10KHz ~ 1290Hz clock signal, where
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:410194
    • 提供者:李维
  1. adc0809

    0下载:
  2. 利用FPGA控制ADC0809采样电压,并通过数码管显示电压数值-ADC0809 FPGA control by sampling the voltage and the voltage value through the digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:844105
    • 提供者:雷旦
  1. ADC0809

    0下载:
  2. ADC0809为8位AD,程序为利用FPGA实现ADC0809对于信号的模数转换。-ADC0809 8-bit AD, procedures for the use of FPGA implementation ADC0809 analog to digital conversion for the signal.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:349490
    • 提供者:stt
  1. ADC0809

    0下载:
  2. fpga控制adc0809,用VHDL写的,已经验证可用-the control of adc0809,written in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1520
    • 提供者:wuwei
  1. shujuchuli

    0下载:
  2. FPGA处理AD转换数据,程序简单实用,带注释标注(FPGA processing AD conversion data, the program is simple and practical)
  3. 所属分类:VHDL/FPGA/Verilog

« 12 3 »
搜珍网 www.dssz.com